aboutsummaryrefslogtreecommitdiffstats
path: root/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.asm.rpt
diff options
context:
space:
mode:
Diffstat (limited to 'student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.asm.rpt')
-rw-r--r--student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.asm.rpt116
1 files changed, 116 insertions, 0 deletions
diff --git a/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.asm.rpt b/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.asm.rpt
new file mode 100644
index 0000000..7965d51
--- /dev/null
+++ b/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.asm.rpt
@@ -0,0 +1,116 @@
+Assembler report for ise_proj
+Tue Mar 01 16:05:15 2016
+Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+ 1. Legal Notice
+ 2. Assembler Summary
+ 3. Assembler Settings
+ 4. Assembler Generated Files
+ 5. Assembler Device Options: C:/Catapult C/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.sof
+ 6. Assembler Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2013 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, Altera MegaCore Function License
+Agreement, or other applicable license agreement, including,
+without limitation, that your use is for the sole purpose of
+programming logic devices manufactured by Altera and sold by
+Altera or its authorized distributors. Please refer to the
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------+
+; Assembler Summary ;
++-----------------------+---------------------------------------+
+; Assembler Status ; Successful - Tue Mar 01 16:05:15 2016 ;
+; Revision Name ; ise_proj ;
+; Top-level Entity Name ; ise_proj ;
+; Family ; Cyclone III ;
+; Device ; EP3C16F484C6 ;
++-----------------------+---------------------------------------+
+
+
++--------------------------------------------------------------------------------------------------------+
+; Assembler Settings ;
++-----------------------------------------------------------------------------+----------+---------------+
+; Option ; Setting ; Default Value ;
++-----------------------------------------------------------------------------+----------+---------------+
+; Use smart compilation ; Off ; Off ;
+; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ;
+; Enable compact report table ; Off ; Off ;
+; Generate compressed bitstreams ; On ; On ;
+; Compression mode ; Off ; Off ;
+; Clock source for configuration device ; Internal ; Internal ;
+; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ;
+; Divide clock frequency by ; 1 ; 1 ;
+; Auto user code ; On ; On ;
+; Use configuration device ; Off ; Off ;
+; Configuration device ; Auto ; Auto ;
+; Configuration device auto user code ; Off ; Off ;
+; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ;
+; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ;
+; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ;
+; Hexadecimal Output File start address ; 0 ; 0 ;
+; Hexadecimal Output File count direction ; Up ; Up ;
+; Release clears before tri-states ; Off ; Off ;
+; Auto-restart configuration after error ; On ; On ;
+; Enable OCT_DONE ; Off ; Off ;
+; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ;
+; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ;
+; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ;
+; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ;
++-----------------------------------------------------------------------------+----------+---------------+
+
+
++-------------------------------------------------------------------------------------------+
+; Assembler Generated Files ;
++-------------------------------------------------------------------------------------------+
+; File Name ;
++-------------------------------------------------------------------------------------------+
+; C:/Catapult C/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.sof ;
++-------------------------------------------------------------------------------------------+
+
+
++---------------------------------------------------------------------------------------------------------------------+
+; Assembler Device Options: C:/Catapult C/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.sof ;
++----------------+----------------------------------------------------------------------------------------------------+
+; Option ; Setting ;
++----------------+----------------------------------------------------------------------------------------------------+
+; Device ; EP3C16F484C6 ;
+; JTAG usercode ; 0x000D0667 ;
+; Checksum ; 0x000D0667 ;
++----------------+----------------------------------------------------------------------------------------------------+
+
+
++--------------------+
+; Assembler Messages ;
++--------------------+
+Info: *******************************************************************
+Info: Running Quartus II 64-Bit Assembler
+ Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+ Info: Processing started: Tue Mar 01 16:05:14 2016
+Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off ise_proj -c ise_proj
+Info (115031): Writing out detailed assembly data for power analysis
+Info (115030): Assembler is generating device programming files
+Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings
+ Info: Peak virtual memory: 424 megabytes
+ Info: Processing ended: Tue Mar 01 16:05:15 2016
+ Info: Elapsed time: 00:00:01
+ Info: Total CPU time (on all processors): 00:00:01
+
+